summaryrefslogtreecommitdiffstats
path: root/Documentation
diff options
context:
space:
mode:
authorSascha Hauer <s.hauer@pengutronix.de>2017-12-01 11:37:58 +0100
committerSascha Hauer <s.hauer@pengutronix.de>2017-12-01 11:55:11 +0100
commit9968fef6d63d308f91a8668c46ed5c202da19935 (patch)
tree86a16765afca996cd4a9a89a21980b94b53518cc /Documentation
parentf2c95c2b948f4e503a19775e39f6b12d5b8cca4a (diff)
downloadbarebox-9968fef6d63d308f91a8668c46ed5c202da19935.tar.gz
barebox-9968fef6d63d308f91a8668c46ed5c202da19935.tar.xz
Documentation: socfpga: Fix numbered list
This is no code block, change to numbered list. Signed-off-by: Sascha Hauer <s.hauer@pengutronix.de>
Diffstat (limited to 'Documentation')
-rw-r--r--Documentation/boards/socfpga.rst22
1 files changed, 11 insertions, 11 deletions
diff --git a/Documentation/boards/socfpga.rst b/Documentation/boards/socfpga.rst
index 2b6c8a5b16..cd0fffa1ee 100644
--- a/Documentation/boards/socfpga.rst
+++ b/Documentation/boards/socfpga.rst
@@ -104,17 +104,17 @@ The boardspecific files for `arch/arm/boards/<yourboard>` are:
* sequencer_auto_inst_init.c
* sequencer_defines.h
-To update the handoff files, the following procedure is necessary::
-
- 1. Regenerate the project with Qsys
- 2. Load up your project in Quartus II and assemble the design
- 3. Go to the SoCEDS installation and run
- ``./embedded_command_shell.sh``
- 4. Now run ``bsp-editor``
- 5. Create a new BSP
- 6. Select the directory `hps_isw_handoff/soc_system_hps_0` under the
- preloader settings directory
- 7. Click ``Ok`` than ``Generate``
+To update the handoff files, the following procedure is necessary:
+
+1. Regenerate the project with Qsys
+2. Load up your project in Quartus II and assemble the design
+3. Go to the SoCEDS installation and run
+ ``./embedded_command_shell.sh``
+4. Now run ``bsp-editor``
+5. Create a new BSP
+6. Select the directory `hps_isw_handoff/soc_system_hps_0` under the
+ preloader settings directory
+7. Click ``Ok`` than ``Generate``
Now run the command::