summaryrefslogtreecommitdiffstats
path: root/dts/include/dt-bindings/clock/mt2712-clk.h
diff options
context:
space:
mode:
authorSascha Hauer <s.hauer@pengutronix.de>2019-04-05 14:51:50 +0200
committerSascha Hauer <s.hauer@pengutronix.de>2019-04-08 10:16:55 +0200
commit1dc748b3b202cadf9b799874d9af8d441ee556bc (patch)
tree58fd3c90a40e2d0128b0c7f36d63d7fc126bb20d /dts/include/dt-bindings/clock/mt2712-clk.h
parent9688b49cd3bc0b61a019e8e1311236c9975a0777 (diff)
downloadbarebox-1dc748b3b202cadf9b799874d9af8d441ee556bc.tar.gz
barebox-1dc748b3b202cadf9b799874d9af8d441ee556bc.tar.xz
dts: update to v5.1-rc1
Signed-off-by: Sascha Hauer <s.hauer@pengutronix.de>
Diffstat (limited to 'dts/include/dt-bindings/clock/mt2712-clk.h')
-rw-r--r--dts/include/dt-bindings/clock/mt2712-clk.h3
1 files changed, 2 insertions, 1 deletions
diff --git a/dts/include/dt-bindings/clock/mt2712-clk.h b/dts/include/dt-bindings/clock/mt2712-clk.h
index 76265836a1..c3b29dff9c 100644
--- a/dts/include/dt-bindings/clock/mt2712-clk.h
+++ b/dts/include/dt-bindings/clock/mt2712-clk.h
@@ -228,7 +228,8 @@
#define CLK_TOP_NFI2X_EN 189
#define CLK_TOP_NFIECC_EN 190
#define CLK_TOP_NFI1X_CK_EN 191
-#define CLK_TOP_NR_CLK 192
+#define CLK_TOP_APLL2_D3 192
+#define CLK_TOP_NR_CLK 193
/* INFRACFG */