summaryrefslogtreecommitdiffstats
path: root/dts/include/dt-bindings/clock/stm32fx-clock.h
diff options
context:
space:
mode:
authorSascha Hauer <s.hauer@pengutronix.de>2018-05-08 08:14:56 +0200
committerSascha Hauer <s.hauer@pengutronix.de>2018-05-08 08:14:56 +0200
commit6b85c20d46812bdbc062b863261c3e5100e30556 (patch)
treee067c9889eaf55d5e793b05a14000276f2669e9f /dts/include/dt-bindings/clock/stm32fx-clock.h
parent9d8c00bdf7c1e8b614a797f0a15fa45bf6387224 (diff)
downloadbarebox-6b85c20d46812bdbc062b863261c3e5100e30556.tar.gz
barebox-6b85c20d46812bdbc062b863261c3e5100e30556.tar.xz
dts: update to v4.17-rc1
Signed-off-by: Sascha Hauer <s.hauer@pengutronix.de>
Diffstat (limited to 'dts/include/dt-bindings/clock/stm32fx-clock.h')
-rw-r--r--dts/include/dt-bindings/clock/stm32fx-clock.h7
1 files changed, 4 insertions, 3 deletions
diff --git a/dts/include/dt-bindings/clock/stm32fx-clock.h b/dts/include/dt-bindings/clock/stm32fx-clock.h
index 49bb3c203e..58d8b515be 100644
--- a/dts/include/dt-bindings/clock/stm32fx-clock.h
+++ b/dts/include/dt-bindings/clock/stm32fx-clock.h
@@ -33,11 +33,12 @@
#define CLK_SAI2 11
#define CLK_I2SQ_PDIV 12
#define CLK_SAIQ_PDIV 13
-
-#define END_PRIMARY_CLK 14
-
#define CLK_HSI 14
#define CLK_SYSCLK 15
+#define CLK_F469_DSI 16
+
+#define END_PRIMARY_CLK 17
+
#define CLK_HDMI_CEC 16
#define CLK_SPDIF 17
#define CLK_USART1 18