summaryrefslogtreecommitdiffstats
path: root/dts/include/dt-bindings/clock
diff options
context:
space:
mode:
authorSascha Hauer <s.hauer@pengutronix.de>2014-05-05 10:03:29 +0200
committerSascha Hauer <s.hauer@pengutronix.de>2014-05-05 10:03:29 +0200
commit6bef4dd5953377d6e25bb1a57f8e2a91ce5ecca0 (patch)
tree21e8b0250f450acbfa35ba82ba9b239bb6e4d021 /dts/include/dt-bindings/clock
parent41ae766fb9cdba13f5e9371423eba85e230e5ad3 (diff)
downloadbarebox-6bef4dd5953377d6e25bb1a57f8e2a91ce5ecca0.tar.gz
barebox-6bef4dd5953377d6e25bb1a57f8e2a91ce5ecca0.tar.xz
dts: update to v3.15-rc3
Signed-off-by: Sascha Hauer <s.hauer@pengutronix.de>
Diffstat (limited to 'dts/include/dt-bindings/clock')
-rw-r--r--dts/include/dt-bindings/clock/tegra124-car.h6
1 files changed, 3 insertions, 3 deletions
diff --git a/dts/include/dt-bindings/clock/tegra124-car.h b/dts/include/dt-bindings/clock/tegra124-car.h
index 8c1603b106..433528ab51 100644
--- a/dts/include/dt-bindings/clock/tegra124-car.h
+++ b/dts/include/dt-bindings/clock/tegra124-car.h
@@ -29,7 +29,7 @@
/* 10 (register bit affects spdif_in and spdif_out) */
#define TEGRA124_CLK_I2S1 11
#define TEGRA124_CLK_I2C1 12
-#define TEGRA124_CLK_NDFLASH 13
+/* 13 */
#define TEGRA124_CLK_SDMMC1 14
#define TEGRA124_CLK_SDMMC4 15
/* 16 */
@@ -83,7 +83,7 @@
/* 64 */
#define TEGRA124_CLK_UARTD 65
-#define TEGRA124_CLK_UARTE 66
+/* 66 */
#define TEGRA124_CLK_I2C3 67
#define TEGRA124_CLK_SBC4 68
#define TEGRA124_CLK_SDMMC3 69
@@ -97,7 +97,7 @@
#define TEGRA124_CLK_TRACE 77
#define TEGRA124_CLK_SOC_THERM 78
#define TEGRA124_CLK_DTV 79
-#define TEGRA124_CLK_NDSPEED 80
+/* 80 */
#define TEGRA124_CLK_I2CSLOW 81
#define TEGRA124_CLK_DSIB 82
#define TEGRA124_CLK_TSEC 83