summaryrefslogtreecommitdiffstats
path: root/drivers/tty
Commit message (Expand)AuthorAgeFilesLines
* mm: remove include/linux/bootmem.hMike Rapoport2018-10-313-3/+3
* Merge tag 'tty-4.20-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/gre...Linus Torvalds2018-10-2927-377/+786
|\
| * of: base: Change logic in of_alias_get_alias_list()Michal Simek2018-10-151-1/+1
| * serial: sh-sci: do not warn if DMA transfers are not supportedUlrich Hecht2018-10-151-1/+1
| * serial: uartps: Do not allow use aliases >= MAX_UART_INSTANCESMichal Simek2018-10-111-2/+4
| * tty: check name length in tty_find_polling_driver()Miles Chen2018-10-111-1/+1
| * tty: wipe buffer if not echoing dataGreg KH2018-10-111-3/+17
| * tty: wipe buffer.Linus Torvalds2018-10-111-1/+5
| * serial: fsl_lpuart: Remove the alias node dependenceVabhav Sharma2018-10-101-2/+10
| * Merge 4.19-rc7 into tty-nextGreg Kroah-Hartman2018-10-081-4/+0
| |\
| * | TTY: sn_console: Replace spin_is_locked() with spin_trylock()Lance Roy2018-10-041-9/+6
| * | Revert "serial:serial_core: Allow use of CTS for PPS line discipline"Greg Kroah-Hartman2018-10-041-69/+1
| * | serial: 8250_uniphier: add auto-flow-control supportDai Okamura2018-10-021-0/+3
| * | serial: 8250_uniphier: flatten probe functionMasahiro Yamada2018-10-021-32/+17
| * | serial: 8250_uniphier: remove unused "fifo-size" propertyMasahiro Yamada2018-10-021-9/+1
| * | serial: uartps: Fix missing unlock on error in cdns_get_id()Wei Yongjun2018-10-021-1/+3
| * | tty/serial: atmel: add ISO7816 supportNicolas Ferre2018-10-022-12/+181
| * | tty/serial_core: add ISO7816 infrastructureNicolas Ferre2018-10-021-0/+60
| * | serial:serial_core: Allow use of CTS for PPS line disciplineSteve Sakoman2018-10-021-1/+69
| * | Merge 4.19-rc6 into tty-nextGreg Kroah-Hartman2018-09-306-7/+30
| |\ \
| * | | serial: uartps: Change uart ID port allocationMichal Simek2018-09-201-13/+96
| * | | tty: serial: remove set but not used variable 'error'YueHaibing2018-09-201-3/+1
| * | | kgdboc: Fix warning with module buildLaura Abbott2018-09-201-18/+19
| * | | TTY: tty_buffer, warn on leaksJiri Slaby2018-09-181-1/+6
| * | | tty_port: Remove incorrect whitespace after commentsTobin C. Harding2018-09-181-7/+0
| * | | sc16is7xx: Fix for "Unexpected interrupt: 8"Phil Elwell2018-09-181-0/+28
| * | | sc16is7xx: Fix for multi-channel stallPhil Elwell2018-09-181-6/+13
| * | | serial: 8250: Fix clearing FIFOs in RS485 mode againMarek Vasut2018-09-181-5/+24
| * | | tty: Convert to using %pOFn instead of device_node.nameRob Herring2018-09-183-12/+12
| * | | serial: 8250_of: Fix for lack of interrupt supportJohn Garry2018-09-181-9/+13
| * | | tty: serial: qcom_geni_serial: Fix serial when not used as consoleDouglas Anderson2018-09-181-29/+26
| * | | tty: serial: qcom_geni_serial: Drop useless check for dev.of_nodeGeert Uytterhoeven2018-09-181-8/+6
| * | | serial: mxs-auart: Fix potential infinite loopAnton Vasilyev2018-09-181-1/+2
| * | | serial: sprd: Fix the indentation issueBaolin Wang2018-09-181-24/+22
| * | | serial: sprd: Change 'int' to 'unsigned int'Baolin Wang2018-09-181-4/+5
| * | | serial: sprd: Remove unnecessary resource validationBaolin Wang2018-09-181-5/+2
| * | | serial: sprd: Use readable macros instead of magic numberBaolin Wang2018-09-181-8/+17
| * | | serial: sprd: Remove unused structureBaolin Wang2018-09-181-11/+0
| * | | serial: 8250_omap: Make 8250_omap driver driver depend on ARCH_K3Lokesh Vutla2018-09-181-1/+1
| * | | serial: samsung: Enable baud clock for UART reset procedure in resumeMarek Szyprowski2018-09-181-0/+8
| * | | serial: sh-sci: Add earlycon for R7S9210Chris Brandt2018-09-181-0/+7
| * | | Revert "serial: sh-sci: Allow for compressed SCIF address"Geert Uytterhoeven2018-09-181-15/+10
| * | | Revert "serial: sh-sci: Remove SCIx_RZ_SCIFA_REGTYPE"Geert Uytterhoeven2018-09-181-0/+31
| * | | tty: serial: imx: add pinctrl sleep/default mode switch for suspendAnson Huang2018-09-181-0/+5
| * | | tty: serial: imx: add lock for registers save/restoreAnson Huang2018-09-181-1/+11
| * | | tty:serial:imx: use spin_lock instead of spin_lock_irqsave in isrjun qian2018-09-181-13/+8
| * | | tty: serial: uartlite: Use dynamic array for console portShubhrajyoti Datta2018-09-181-4/+24
| * | | tty: serial: uartlite: remove console_initShubhrajyoti Datta2018-09-181-8/+0
| * | | tty: serial: uartlite: Move uart register to probeShubhrajyoti Datta2018-09-181-17/+10
| * | | tty: serial: uartlite: Enable clocks at probeShubhrajyoti Datta2018-09-181-2/+6